زمان کنونی: ۲۵ اردیبهشت ۱۴۰۳, ۱۱:۵۹ ب.ظ مهمان گرامی به انجمن مانشت خوش آمدید. برای استفاده از تمامی امکانات انجمن می‌توانید عضو شوید.
گزینه‌های شما (ورودثبت نام)

مدارهای منطقی-مهندسی کامپیوتر ۹۴

ارسال: #۶۱
۱۸ بهمن ۱۳۹۳, ۰۳:۲۴ ب.ظ (آخرین ویرایش در این ارسال: ۱۸ بهمن ۱۳۹۳ ۰۳:۲۵ ب.ظ، توسط shoaib.narimani.)
RE: مدارهای منطقی-مهندسی کامپیوتر ۹۴
(۱۸ بهمن ۱۳۹۳ ۰۱:۲۵ ب.ظ)faza نوشته شده توسط:  
(18 بهمن ۱۳۹۳ ۱۲:۴۰ ق.ظ)doost4 نوشته شده توسط:  دوستان سلام. یه توضیح اجمالی در مورد سوالای منطقی:

سوال انکودر غلط بود ولی در صورتی که با فرض غلط مساله رو حل کنیم جواب ۳ بدست میات
سوال EPI ها رو باید با استفاده از وزن همینگ و روش کویین- مک کلاسکی حل میکردین که جواب ۷و۱ میشه (قطعا)
سوال مخاطره بعد از کشیدن کارنو مشخصه که خانه های ۰۱۱۱ و ۱۱۱۱ از دو PI متفاوت با هم مجاورند و لذا تغییر a از صفر به یک یا برعکس باعث هازارد میشه. چون از روی sop جدول کارنو رو میکشیم و یک ها مجاورند پس میشه سطح یک ایستا.
سوال عملکرد متفاوت کافی بود معادله خروجی رو برای هر کدوم بنویسید و ساده کنید که فکر می کنم میشد گزینه بالا سمت راست.
سوال تغییر مبنا هم تمام گزینه ها ۲۵+۱/۱۶ بود به جز عدد مبنای ۸ که میشد ۲۵+۱/۶۴
جوابهای من هم تقریبا همینا بود با این فرق که:
- معتقدم سوال انکودر غلط نیست و به نظرم میشه ۴ کلاک البته!
-هازارد هم ایستای سطح ۱هست اما با تغییر دو طرفه پیش نمیاد، فقط یه طرفش پیش میاد. اونم وقتیه که از ۱ میریم به ۰ ( به علت تاخیر گیت اینورتر ) البته هنوز کسی رو موافق نظر خودم ندیدم!
البته سوال عملکرد متفاوت هم نمیشه اینجوری گفت، ترتیب گزینه های دفترچه ها با هم فرق میکرد. ولی خلاصه تو حالت A=0 و B=1 تفاوت مشخص میشد.

منم هر سه سوالی رو که فرمودین مث شما زدم ...
یافتن تمامی ارسال‌های این کاربر
نقل قول این ارسال در یک پاسخ
ارسال: #۶۲
۱۸ بهمن ۱۳۹۳, ۰۳:۲۵ ب.ظ
RE: مدارهای منطقی-مهندسی کامپیوتر ۹۴


۷ تا pi بود و یک EPI به نظرم.

تاخیر انکودر هم مشخصه که تاخیر داره اما خیلی کمتر از یه دوره تناوب کلاکه دیگه طبیعتا،
یافتن تمامی ارسال‌های این کاربر
نقل قول این ارسال در یک پاسخ
ارسال: #۶۳
۱۸ بهمن ۱۳۹۳, ۰۳:۲۷ ب.ظ
مدارهای منطقی-مهندسی کامپیوتر ۹۴
اگه که یه طرفه امکان داشت دوظرفم امکان داشت چون به هر حالا تعداد سطوح یکسان بود...

تنبلی دزد آرزوهاست...
یافتن تمامی ارسال‌های این کاربر
نقل قول این ارسال در یک پاسخ
ارسال: #۶۴
۱۸ بهمن ۱۳۹۳, ۰۴:۳۴ ب.ظ (آخرین ویرایش در این ارسال: ۱۸ بهمن ۱۳۹۳ ۰۴:۴۲ ب.ظ، توسط doost4.)
RE: مدارهای منطقی-مهندسی کامپیوتر ۹۴
دوستان اگر اشتباه نکنم اون سوال هازارد کارنوش به این صورتی که پیوست کردم میشد. پس تا اینجا که سطح یکه. حالا دو حالت پیش میات. یکی از صفر به یک و یکی از یک به صفر. تو همچین سوالاتی اگه قرار باشه هازارد یک طرفه باشه باید حتما تاخیر گیت ها رو داشته باشیم در اون صورت هر حالتی که تاخیر کمتری داشته باشه توی race برنده میشه. اما تو این سوال اصلن اشاره ای به تاخیر گیت ها نشده بود! حتی این رو هم نگفته بود تاخیر گیت ها برابرند. تازه اگر این رو هم در نظر بگیریم، یکی از گیت ها وردی a داشت و یکی ورودی 'a و ما نمیدونیم که تاخیر گیت not بیشتره یا nand . پس معقول ترین گزینه هازارد در هر دو شرط هست.

ضمنا به این نکته هم دقت کنید که چون یکی از ورودی ها a بود و 'a هم وجود داشت پس اگه بخوایم از صفر به یک بریم اون گیتی که not داره برنده میشه و هازارد پیش میات و زمانی هم که از یک به صفر بریم گیتی که خود a رو داره برنده میشه و هازارد ایجاد میشه.


فایل‌(های) پیوست شده

یافتن تمامی ارسال‌های این کاربر
نقل قول این ارسال در یک پاسخ
 سپاس‌گزاری شده توسط: pezhman.m-AI
ارسال: #۶۵
۱۸ بهمن ۱۳۹۳, ۰۶:۴۱ ب.ظ
مدارهای منطقی-مهندسی کامپیوتر ۹۴
۲و۷ میشه
یافتن تمامی ارسال‌های این کاربر
نقل قول این ارسال در یک پاسخ
ارسال: #۶۶
۱۸ بهمن ۱۳۹۳, ۰۶:۴۶ ب.ظ
مدارهای منطقی-مهندسی کامپیوتر ۹۴
خب اونی که گیت NOT سر راهشه قطعا از اونی که نیست تاخیرش بیشتره دیگه، نه؟
چون بقیه راه که بین همشون مشترک بود.
در نتیجه از ۰ که به ۱ میریم، مشکلی پیش نمیاد اما برعکسش، برای یک لحظه، هم a صفر میشه و هم a'
به نظرم!
یافتن تمامی ارسال‌های این کاربر
نقل قول این ارسال در یک پاسخ
ارسال: #۶۷
۱۸ بهمن ۱۳۹۳, ۰۹:۴۶ ب.ظ
RE: مدارهای منطقی-مهندسی کامپیوتر ۹۴
(۱۸ بهمن ۱۳۹۳ ۰۶:۴۶ ب.ظ)faza نوشته شده توسط:  خب اونی که گیت NOT سر راهشه قطعا از اونی که نیست تاخیرش بیشتره دیگه، نه؟
چون بقیه راه که بین همشون مشترک بود.
در نتیجه از ۰ که به ۱ میریم، مشکلی پیش نمیاد اما برعکسش، برای یک لحظه، هم a صفر میشه و هم a'
به نظرم!

بله تاخیرش بیشتره قبول دارم، من میگم که همونجوری که شما میگید از یک به صفر میریم یه لحظه صفر میشه، پس در این صورت تاخیر گیت not رو در نظر گرفتین. حالا بحث من این هست که میخایم از صفر بریم به یک. کل گیت هامون nand هستن و از طرفی تمام ورودیهای دیگه به جز a یک هستن. خود a هم صفر هست و 'a یک هست (چون میخایم از صفر به یک بریم). بنابراین اون گیتی که a داره به سرعت a تبدیل به صفر میشه و خروجی nand یک میشه و این تا زمانی یک باقی میمونه که خروجی nandی که شامل 'a هست (به علت تاخیر not در 'a ) تغییر وضعیت بده و دوباره صفرش کنه.
فرمایش شما در صورتی که ورودی 'a رو به صورت آماده داشته باشیم درست هست. بهتر بود این تست این ابهامات رو برطرف میکرد و دقیق تاخیر گیت ها رو میداد. Undecided
یافتن تمامی ارسال‌های این کاربر
نقل قول این ارسال در یک پاسخ
ارسال: #۶۸
۱۸ بهمن ۱۳۹۳, ۱۰:۵۸ ب.ظ
RE: مدارهای منطقی-مهندسی کامپیوتر ۹۴
(۱۸ بهمن ۱۳۹۳ ۰۹:۴۶ ب.ظ)doost4 نوشته شده توسط:  فرمایش شما در صورتی که ورودی 'a رو به صورت آماده داشته باشیم درست هست. بهتر بود این تست این ابهامات رو برطرف میکرد و دقیق تاخیر گیت ها رو میداد. Undecided
به نظرم اگه a' رو آماده داشتیم اصلا هازارد پیش نمیومد.
ولی همچنان سر حرفم هستم که فقط یک طرفه هست. وقتی a از ۰ به ۱ میره، برای یک لحظه، حاصل NAND طبقه اول (که شامل a هست) رو صفر میکنه، که این موضوع باعث میشه حاصل NAND طبقه دوم که همون خروجی هست، ۱ بمونه. در نتیجه مشکلی پیش نمیاد دیگه. شکلش رو بکشی متوجه میشی چی میگم. اگه مدار رو به AND-OR ساده کنی، راحت تر میشه تحلیل کرد.
یافتن تمامی ارسال‌های این کاربر
نقل قول این ارسال در یک پاسخ
 سپاس‌گزاری شده توسط: ehsansjs
ارسال: #۶۹
۱۸ بهمن ۱۳۹۳, ۱۱:۰۵ ب.ظ
RE: مدارهای منطقی-مهندسی کامپیوتر ۹۴
(۱۸ بهمن ۱۳۹۳ ۱۲:۰۲ ق.ظ)m-kafiyan نوشته شده توسط:  اون که میشد ۷-۱ من کاملا مطمئنام شصت بار کشیدم و چک کردم
مبنای هشته غلط بود
اما چرا من متمم درنیاوردم Sad الان یک دونش غلط شد

اونایی که چهارتاشکل رو گفته بود کدومش فرق داشت یکی یک توضیح اساسی بده من دفترچک F بود زدم گزینه یک فکر کنم
برای چی سوال ها رو بهمون نمیدهن خب؟ تولید زباله میکنند ها
منم دفترچم F بود گزینه یک زدم شک نکنین درسته جدول درستی کشیدم به جز گزینه یک بقیشون مثل هم بودن
یافتن تمامی ارسال‌های این کاربر
نقل قول این ارسال در یک پاسخ
ارسال: #۷۰
۱۹ بهمن ۱۳۹۳, ۰۱:۱۴ ق.ظ
RE: مدارهای منطقی-مهندسی کامپیوتر ۹۴
(۱۸ بهمن ۱۳۹۳ ۱۰:۵۸ ب.ظ)faza نوشته شده توسط:  
(18 بهمن ۱۳۹۳ ۰۹:۴۶ ب.ظ)doost4 نوشته شده توسط:  فرمایش شما در صورتی که ورودی 'a رو به صورت آماده داشته باشیم درست هست. بهتر بود این تست این ابهامات رو برطرف میکرد و دقیق تاخیر گیت ها رو میداد. Undecided
به نظرم اگه a' رو آماده داشتیم اصلا هازارد پیش نمیومد.
ولی همچنان سر حرفم هستم که فقط یک طرفه هست. وقتی a از ۰ به ۱ میره، برای یک لحظه، حاصل NAND طبقه اول (که شامل a هست) رو صفر میکنه، که این موضوع باعث میشه حاصل NAND طبقه دوم که همون خروجی هست، ۱ بمونه. در نتیجه مشکلی پیش نمیاد دیگه. شکلش رو بکشی متوجه میشی چی میگم. اگه مدار رو به AND-OR ساده کنی، راحت تر میشه تحلیل کرد.

یه اشتباهی تو ذهنتون هست دوست عزیز خوب بهش فکر کنید. ببینید 'a رو آماده داشته باشیم یا اینکه با گیت not اون رو درست کنیم در هر حال خروجی مدار که عوض نمیشه! ما برای تشخیص هازارد برای راحتی کار جدول کارنو میکشیم و از روی قوانین کواین هازارد رو پیدا می کنیم. پس اگه 'a رو آماده داشته باشیم یا نداشته باشیم کارنوی مدار عوض نمیشه. یعنی وجود شرایط race در مدار بستگی به آماده بودن معکوس خروجی ها نداره. اما چیزی که باعث بوجود اومدن هازارد میشه وجود " race بحرانی " هست. ممکنه ما تو مدار چندین race داشته باشیم که بحرانی نیستن و در نتیجه ایجاد هازارد نمیکنن. من دارم به این موضوع اشاره میکنم که در صورت آماده نبودن معکوس a ایجاد race بحرانی و در نتیجه هازارد میکنه اما آماده بودنش نه.
یافتن تمامی ارسال‌های این کاربر
نقل قول این ارسال در یک پاسخ
 سپاس‌گزاری شده توسط: ehsansjs
ارسال: #۷۱
۱۹ بهمن ۱۳۹۳, ۰۲:۱۹ ق.ظ
RE: مدارهای منطقی-مهندسی کامپیوتر ۹۴
(۱۹ بهمن ۱۳۹۳ ۰۱:۱۴ ق.ظ)doost4 نوشته شده توسط:  من دارم به این موضوع اشاره میکنم که در صورت آماده نبودن معکوس a ایجاد race بحرانی و در نتیجه هازارد میکنه اما آماده بودنش نه.
موافقم. منم منظورم همین بود که ایجاد هازارد نمیکنه.
یافتن تمامی ارسال‌های این کاربر
نقل قول این ارسال در یک پاسخ
 سپاس‌گزاری شده توسط: doost4
ارسال: #۷۲
۱۹ بهمن ۱۳۹۳, ۱۲:۲۴ ب.ظ (آخرین ویرایش در این ارسال: ۱۹ بهمن ۱۳۹۳ ۱۲:۲۵ ب.ظ، توسط miha.)
RE: مدارهای منطقی-مهندسی کامپیوتر ۹۴
(۱۸ بهمن ۱۳۹۳ ۰۳:۲۵ ب.ظ)faza نوشته شده توسط:  ۷ تا pi بود و یک EPI به نظرم.

تاخیر انکودر هم مشخصه که تاخیر داره اما خیلی کمتر از یه دوره تناوب کلاکه دیگه طبیعتا،



دو تا داره شکل ک هس
یافتن تمامی ارسال‌های این کاربر
نقل قول این ارسال در یک پاسخ
ارسال: #۷۳
۱۹ بهمن ۱۳۹۳, ۱۲:۵۹ ب.ظ
مدارهای منطقی-مهندسی کامپیوتر ۹۴
سلام
شک نکنید سوال pi میشد ۷ و ۱
جدولشم همونیه که دوستان گذاشتن
اون سوالم که گفته بود کدوم با بقیه فرق داره همون مالتی پلکسری میشد که خط یکش B بود..دفترچه من E بود میشد گزینه ۱
ی سوال دیگم زدم که یادم نیس چی بود!!!
یافتن تمامی ارسال‌های این کاربر
نقل قول این ارسال در یک پاسخ
 سپاس‌گزاری شده توسط: farhad_vr32
ارسال: #۷۴
۱۹ بهمن ۱۳۹۳, ۰۱:۲۴ ب.ظ
RE: مدارهای منطقی-مهندسی کامپیوتر ۹۴
(۱۹ بهمن ۱۳۹۳ ۰۲:۱۹ ق.ظ)faza نوشته شده توسط:  
(19 بهمن ۱۳۹۳ ۰۱:۱۴ ق.ظ)doost4 نوشته شده توسط:  
موافقم. منم منظورم همین بود که ایجاد هازارد نمیکنه.

بله. اینا همه مشکلاتی هست که ابهامات سوال باعثش شده. وقتی طراح فقط از سر تکلیف سوال میده بهتر از این نمیشه Undecided

دوستان اون سوال EPI جوابش میشه ۷و۱ روش حلش هم فقط با کواین-مک کلاسکی هست با کارنو حل نمیشه این سوالا
یافتن تمامی ارسال‌های این کاربر
نقل قول این ارسال در یک پاسخ
ارسال: #۷۵
۱۹ بهمن ۱۳۹۳, ۰۳:۰۵ ب.ظ
مدارهای منطقی-مهندسی کامپیوتر ۹۴
دوستان به نظرتون سوال هازادش غلط نبود من خیلی فک کردم به نظرم مدار نباید شامل گیت not میشد.تا بتونه سوال هازارد درست باشه .

خدایا رحمی ای منعم که درویش سر کویت ......... دری دیگر نمی داند رهی دیگر نمی گیرد
یافتن تمامی ارسال‌های این کاربر
نقل قول این ارسال در یک پاسخ


موضوع‌های مرتبط با این موضوع...
موضوع: نویسنده پاسخ: بازدید: آخرین ارسال
  جزوه ی خلاصه مدار های منطقی HamidReza1 ۰ ۷۵۵ ۰۶ اسفند ۱۴۰۱ ۱۱:۵۶ ب.ظ
آخرین ارسال: HamidReza1
  جزوه ی خلاصه ی درس مدار منطقی HamidReza1 ۱ ۲,۸۵۹ ۲۳ اسفند ۱۳۹۸ ۰۲:۱۱ ب.ظ
آخرین ارسال: marvelous
  منبع خوب برای تست مدار منطقی marvelous ۴ ۴,۴۶۲ ۱۵ دى ۱۳۹۸ ۰۷:۳۶ ب.ظ
آخرین ارسال: The BesT
  مدار منطقی دکتر اجلالی بهتره یا موریس مانو _student_98 ۹ ۸,۶۴۸ ۰۶ دى ۱۳۹۸ ۰۳:۵۰ ب.ظ
آخرین ارسال: marvelous
  مدار منطقی اجلالی چاپ جدید و چاپ قبل radi_s ۵ ۵,۷۷۶ ۰۲ مهر ۱۳۹۷ ۰۸:۴۲ ق.ظ
آخرین ارسال: radi_s
  مدار منطقی طراحی شمارنده bcd ,با فلیپ فلاپ d Yousefi.parisa70@gmail.com ۰ ۲,۶۳۳ ۱۸ مرداد ۱۳۹۷ ۱۱:۵۴ ق.ظ
آخرین ارسال: Yousefi.parisa70@gmail.com
  تابع منطقی naghmeh70 ۲ ۲,۴۸۴ ۲۷ فروردین ۱۳۹۷ ۱۱:۰۴ ق.ظ
آخرین ارسال: naghmeh70
  تست ارشد مدار منطقی ۰۰۱زهرا ۱ ۱,۶۵۶ ۲۴ فروردین ۱۳۹۷ ۰۳:۱۷ ب.ظ
آخرین ارسال: Milad_Hosseini
  در نبود کتاب دکتر اجلالی ، کدام کتاب مدار منطقی را تهیه کنیم بهتر است ؟ AreF95 ۲ ۴,۰۹۲ ۰۶ فروردین ۱۳۹۷ ۰۱:۲۳ ق.ظ
آخرین ارسال: The BesT
  مدار منطقی دکتر اجلالی نصیر reza.ilka ۳ ۵,۳۶۸ ۱۷ مهر ۱۳۹۶ ۰۲:۳۰ ب.ظ
آخرین ارسال: BehnamBiBo

پرش به انجمن:

Can I see some ID?

به خاطر سپاری رمز Cancel

Feeling left out?


نگران نباش، فقط روی این لینک برای ثبت نام کلیک کن. رمزت رو فراموش کردی؟ اینجا به یادت میاریم! close

رمزت رو فراموش کردی؟

Feeling left out?


نگران نباش، فقط روی این لینک برای ثبت نام کلیک کن. close