زمان کنونی: ۰۹ اردیبهشت ۱۴۰۳, ۰۹:۳۶ ق.ظ مهمان گرامی به انجمن مانشت خوش آمدید. برای استفاده از تمامی امکانات انجمن می‌توانید عضو شوید.
گزینه‌های شما (ورودثبت نام)

کمک فوری!!! - طراجی جمع کننده BCD درmaxplus

ارسال:
  

myphilo پرسیده:

کمک فوری!!! - طراجی جمع کننده BCD درmaxplus

دوستان من فردا صبح باید طراحی یک جمع و تفریق کننده ی BCD رو تحویل استاد بدم برای امتحان!!! متاسفانه نرم افزاری که باهاش باید کار کنیم maxpluse و من نمیتونم باهاش کار کنم.

کسی هست کمکم کنه؟ حداقل یه الگو نشونم بده من از روی اون طراحی کنم!!!! هیچ چیزی ندارم! نه عکسی نه الگویی نه توضیحی

Sad(((((((((((((((((((((((((((((((((((((((((HuhHuhHuhHuhHuhSadSadSadSadSadSadSadSadSad
Fardad-A، در تاریخ ۱۸ اردیبهشت ۱۳۹۲ ۱۰:۴۴ ب.ظ برای این مطلب یک پانوشت گذاشته است:

چون کارتون فوری بود تاپیکتون منتقل شد به محل درست.

۰
ارسال:
  

kingxerxes پاسخ داده:

RE: کمک فوری!!! - طراجی جمع کننده BCD درmaxplus

باید زبان vhdl رو بلد باشی .
کد:
entity alu is
port (
A,B: in std_logic_vector(7 downto 0);
cout: out std_logic;
sel: in std_logic_vector(2 downto 0);
c : out std_logic_vector(7 downto 0));

end entity alu ;

architecture main of alu is
signal s:std_logic_vector(8 downto 0);
begin

process(sel)
begin

CASE sel IS
WHEN "000" =>
c<=Not a;
WHEN "001" =>
c<=a and b;
WHEN "010" =>
c<=a or b;
WHEN "011" =>
c<=a xor b;
WHEN "100" =>
s<=(a+'0') + b;
c<=s(7 downto 0);
cout<=s(8);
WHEN "101" =>
s<=a+(not b)+1;
c<=s(7 downto 0);
cout<=s(8);
WHEN "110" =>
s<=a+1;
c<=s(7 downto 0);
cout<=s(8);
WHEN "111" =>
s<=a+"11111111";
c<=s(7 downto 0);
cout<=s(8);

when others =>
c<="00000000";

END CASE;
end process;


end main;

کد بالا یک alu رو تعریف میکنه

تو بخش entity پین ها رو تعریف میکنی و تو بخش architecture کاری که انجام میده رو مینویسی

۰
ارسال:
  

Xilinx پاسخ داده:

کمک فوری!!! - طراجی جمع کننده BCD درmaxplus

توی گوگل یه جستجو بزن شکل BCD رو پیدا کن بعد متوجه میشی چه ورودی و چه خروجی هایی باید تعریف کنی.بعد راحت میتونی با کد VHDL بنویسیش.اگر اجباری توی استفاده از MaxPlusII نیست از QuartusII استفاده کن که بعد از MaxPlusII اومده Wink البته کدهای BCD فکرکنم با یه سرچ پیدا بشه Big Grin

از این فایل به عنوان الگو استفاده کنید


فایل‌(های) پیوست شده
BCD adder.ppt
اندازه فایل: ۱۷۶ KB



موضوع‌های مرتبط با این موضوع...
موضوع: نویسنده پاسخ: بازدید: آخرین ارسال
  کمک فوری برای مصاحبه استخدامی رشته هنراموزی کامپیوتر hamide.m ۳ ۴,۰۳۸ ۲۷ فروردین ۱۴۰۱ ۰۷:۳۰ ب.ظ
آخرین ارسال: SetareSokhanrani
  فوری : چطور در جو کنکور و درس خوندن میمونید؟ MohsenRezaei ۸ ۴,۵۱۱ ۱۱ آذر ۱۳۹۸ ۰۹:۵۵ ب.ظ
آخرین ارسال: marvelous
  تست جمع کننده با پیش گویی رقم نقلی Sanazzz ۰ ۱,۷۱۶ ۲۹ اردیبهشت ۱۳۹۸ ۰۲:۲۴ ب.ظ
آخرین ارسال: Sanazzz
Sad جمع کننده پیش گویی رقم نقلی Sanazzz ۸ ۶,۹۱۲ ۱۹ اردیبهشت ۱۳۹۸ ۰۲:۰۴ ق.ظ
آخرین ارسال: Sanazzz
Question Pointer C++ آرایه کمک فوری ... porseshgar ۰ ۱,۵۲۴ ۰۳ اسفند ۱۳۹۷ ۰۲:۵۹ ب.ظ
آخرین ارسال: porseshgar
Sad پیدا کردن xای که حاصل جمع دو عدد Sanazzz ۳ ۳,۲۱۷ ۰۹ بهمن ۱۳۹۷ ۰۳:۰۴ ق.ظ
آخرین ارسال: Sanazzz
Exclamation جمع کننده با پیش گویی رقم نقلی Sanazzz ۴ ۴,۰۹۹ ۲۸ آبان ۱۳۹۷ ۰۳:۲۴ ب.ظ
آخرین ارسال: Sanazzz
Exclamation کمک کمک کمک در مورد ادامه تحصیل در مقطع دکتری !!! aminomidi ۳ ۴,۳۱۲ ۱۷ مهر ۱۳۹۷ ۰۵:۴۵ ب.ظ
آخرین ارسال: negarin_
  مدار منطقی طراحی شمارنده bcd ,با فلیپ فلاپ d Yousefi.parisa70@gmail.com ۰ ۲,۶۰۵ ۱۸ مرداد ۱۳۹۷ ۱۱:۵۴ ق.ظ
آخرین ارسال: Yousefi.parisa70@gmail.com
  اگر در مصاحبه ی برای قبولی دانشگاه رد بشویم چه می شود ؟(لطفا فوری راهنمایی کنید) sadjadt ۱ ۲,۷۴۷ ۱۹ خرداد ۱۳۹۷ ۱۲:۳۵ ب.ظ
آخرین ارسال: Happiness.72

پرش به انجمن:

Can I see some ID?

به خاطر سپاری رمز Cancel

Feeling left out?


نگران نباش، فقط روی این لینک برای ثبت نام کلیک کن. رمزت رو فراموش کردی؟ اینجا به یادت میاریم! close

رمزت رو فراموش کردی؟

Feeling left out?


نگران نباش، فقط روی این لینک برای ثبت نام کلیک کن. close