Show navigation
خانه
انجمن
صفحه اول
جستجوی انجمن
خبری
آخرین خبرها
قفسه
دروس و منابع
سوال و جواب
درباره
کاربران چه میگویند؟
تیم مانشت
گاهشمار
اعضای سایت
ارتباط با ما
زمان کنونی:
۰۷ اردیبهشت ۱۴۰۳, ۰۸:۰۲ ب.ظ
مهمان گرامی به انجمن مانشت خوش آمدید. برای استفاده از تمامی امکانات انجمن میتوانید عضو شوید.
گزینههای شما (
ورود
—
ثبت نام
)
ارسالهای امروز
|
سوالات بیپاسخ
|
پاسخ ناقص
|
پاسخ کامل
تالار گفتمان مانشت
جستجو
نتایج
نتایج جستجو
صفحهها (۴):
۱
۲
۳
۴
موضوع
/
نویسنده
انجمن
اعتبار/پاسخ
پاسخها
بازدیدها
آخرین ارسال
[
صعودی
]
یادگیری برنامه نویسی تا اجرای پروژه های بزرگ
The BesT
موضوعات و سوالات مرتبط با آینده شغلی
۴
-
۳
۳,۲۹۴
کنترل نامحسوس ترافیک
۱۲ آذر ۱۳۹۸ ۰۳:۵۸ ب.ظ توسط:
marvelous
بحث و بررسی سوالات کنکور ارشد مهندسی کامپیوتر ۹۸
The BesT
۱
۲
آزمون مهندسی کامپیوتر ۹۳
-
-
۱۷
۱۱,۸۵۴
مردووووووددددددددددددددددددددددددددددد.
۱۷ تیر ۱۳۹۸ ۰۸:۰۱ ب.ظ توسط:
abolfazl pepco
خطای نتیجه در متلب
The BesT
سایر زبانها
۲
-
۶
۴,۲۶۷
من، بعد از دیدن این مدل کد زدن:
۲۰ تیر ۱۳۹۷ ۱۰:۳۹ ق.ظ توسط:
Behnam
اطلاعیه سازمان سنجش آموزش کشور در باره اعلام رشتههای جدید ۹۷
The BesT
اطلاع رسانی آزمونهای کارشناسی ارشد
۱
-
۱
۳,۳۴۴
شاهکار سنجش
۱۹ خرداد ۱۳۹۷ ۰۸:۰۳ ب.ظ توسط:
Happiness.72
 
صعودی کردن ماتریس mدرn
The BesT
سوالات درسی اعضا-درخواستهای اعضاء(زبانهای برنامه سازی)
پاسخ درست
۷
۶,۷۴۵
فقط یه سوال : من توی معرفی اولیه ماتریس اندیسها را از ۱شروع کردم. پس باید قاعدتا توی تعریف همون اندیسهای ۱ را بخونه. ...
۲۳ اردیبهشت ۱۳۹۷ ۰۲:۲۴ ب.ظ توسط:
Behnam
 
حل المسائل کتاب معماری کامپیوتر پترسون-ویراست چهارم
The BesT
منابع/لینک منابع
۸
۱۷,۰۰۳
salam kheili mamun az inke manabeh dar ekhtiyar ma gozashtid salam in download nemisheh
۲۰ اسفند ۱۳۹۶ ۰۶:۰۳ ب.ظ توسط:
milani
ثبتنام شانزدهمین دوره عتبات عالیات-ویژه دانشجویان و اساتید - زمستان ۹۵
The BesT
مباحث مذهبی
-
-
۰
۱,۷۹۲
سلام. این لینک ثبتنام هست: شروع ثبتنام از ۲۱اذر تا ۱ دی ماه : سمت چپ سایت، روی اولین گزینه (ثبتنام عتبات عالیات) ...
۲۳ آذر ۱۳۹۵ ۰۱:۴۴ ق.ظ توسط:
The BesT
بالا بردن کیفیت عکس های نمودار و ... در ورد
The BesT
سوالات و موضوعات عملی کامپیوتری
-
-
۱
۷,۹۵۸
سلام چرا اسنپ شات میگیرید؟ توی خود ورد از زبانه insert/ chart نمودارهارو در اکسل رسم کنید.
۰۲ تیر ۱۳۹۵ ۱۲:۵۳ ق.ظ توسط:
ماه بانو
فروش کتب کنکوری ارشد و دکتری
The BesT
کتاب ها و جزوات کنکوری
-
-
۱
۱,۹۷۹
سلام دوست گرامی من سوالات کنکور آزمایشی دکتری همراه با پاسخهاشون رو میخواستم. میشه بفرمائید قیمتش چقدره؟ خیلی ...
۱۰ خرداد ۱۳۹۵ ۰۳:۵۰ ق.ظ توسط:
slinda
نخستین مسابقه برنامه نویسی ACM
The BesT
فراخوانها و آگهیهای استخدام
۱
-
۰
۲,۴۳۵
مسابقات برنامه نویسی ACM ICPC یا به اختصار ICPC (International Collegiate Programming Contest) بزرگترین گردهمایی رقابتی دانشجویی جهان است ...
۱۸ اسفند ۱۳۹۴ ۰۹:۱۲ ب.ظ توسط:
The BesT
روش اتصال به اینترنت و دانلود نرم افزار در لینوکس ؟
The BesT
سایر زبانها
۱
-
۹
۴,۷۷۷
centos ورژن چند نصب کردید؟ مطمئنید دستورات رو تو شل اجرا میکنید؟!!! اگه امکان داره تم ویور بدید وصل بشم اوکی کنم
۲۸ شهریور ۱۳۹۴ ۱۱:۴۹ ب.ظ توسط:
one hacker alone
رفع مشکل خطای vhdl در عمل روندینگ الگوریتم ضرب اعداد ممیز شناور
The BesT
سوالات درسی اعضا-درخواستهای اعضاء(زبانهای برنامه سازی)
-
-
۰
۱,۸۴۹
سللام. دلیل خطای برنامه زیر چی هست؟ دستوراتی هم میخواهم اجرا کنم که قابل سنتز باشد.
۰۲ مرداد ۱۳۹۴ ۱۰:۳۶ ب.ظ توسط:
The BesT
چگونگی تبدیل عدد باینری ورودی به ممیز ثابت
The BesT
سوالات درسی اعضا-درخواستهای اعضاء(زبانهای برنامه سازی)
-
-
۰
۱,۴۹۷
در این برنامه هدف این بوده که عدد باینری ورودی به ممیز ثابت تیدیل شود .مثلا عدد ۰/۱۱۰۰۰۰۰ به ۰/۷۵ تبدیل شود ولی چنین ...
۲۷ تیر ۱۳۹۴ ۰۳:۰۵ ب.ظ توسط:
The BesT
 
تبدیل عدد ممیزدار باینری به عدد اعشاری در vhdl
The BesT
سوالات درسی اعضا-درخواستهای اعضاء(زبانهای برنامه سازی)
۳
۳,۳۰۱
فکر کنم همچین چیزی مد نظرتون بود: library IEEE; use ; use ; use ; use ; entity bin_to_int is port( input : in STD_LOGIC_VECTOR(7 downto 0); output : out ...
۲۲ تیر ۱۳۹۴ ۰۷:۰۴ ب.ظ توسط:
Behnam
چگونگی محاسبه عدد به توان منفی در vhdl
The BesT
سوالات درسی اعضا-درخواستهای اعضاء(زبانهای برنامه سازی)
پاسخ درست
۳
۴,۱۰۹
این چطور بچه مثبت؟ (یوزرتون شبیه یوزر Bache Mosbat بود اشتباه گرفتم :دی) library IEEE; use ; use ; use ; use ; entity float is port( input1 : in std_logic_vector(7 ...
۱۹ تیر ۱۳۹۴ ۰۲:۱۸ ق.ظ توسط:
Behnam
تست۴۰ دکتری۹۲
The BesT
VLSI پیشرفته
-
-
۰
۱,۶۵۱
اینها سوالات آسونی هستند.ولی میخوام روش حل تست هاش با تکنیک سریعی اگر هست بفرمایید.ممنون:heart:
۲۷ بهمن ۱۳۹۳ ۰۱:۴۳ ق.ظ توسط:
The BesT
تست۳۹vlsi-دکتری۹۳
The BesT
VLSI پیشرفته
-
-
۰
۱,۲۲۸
۲۷ بهمن ۱۳۹۳ ۰۱:۴۱ ق.ظ توسط:
The BesT
تست۳۶ دکتری۹۳ vlsi
The BesT
VLSI پیشرفته
-
-
۰
۱,۳۰۰
۲۷ بهمن ۱۳۹۳ ۰۱:۳۸ ق.ظ توسط:
The BesT
تست۴۳دکتری۹۲
The BesT
VLSI پیشرفته
-
-
۰
۱,۰۷۲
۲۷ بهمن ۱۳۹۳ ۰۱:۳۳ ق.ظ توسط:
The BesT
تست۴۰ دکتری۹۲
The BesT
VLSI پیشرفته
-
-
۰
۱,۱۸۶
اینها سوالات آسونی هستند.ولی میخوام روش حل تست هاش با تکنیک سریعی اگر هست بفرمایید.ممنون:heart:
۲۷ بهمن ۱۳۹۳ ۰۱:۳۱ ق.ظ توسط:
The BesT
صفحهها (۴):
۱
۲
۳
۴
افزودن کد TEX
افزودن
آموزش تک نویسی در مانشت
افزودن کد Tex
close
Can I see some ID?
نام کاربری یا ایمیل:
رمز ورود:
به خاطر سپاری رمز
Cancel
Feeling left out?
نگران نباش، فقط روی
این لینک
برای ثبت نام کلیک کن.
رمزت رو فراموش کردی؟
اینجا به یادت میاریم!
close
رمزت رو فراموش کردی؟
ایمیل شما:
Cancel
Feeling left out?
نگران نباش، فقط روی
این لینک
برای ثبت نام کلیک کن.
close